CPU
Now Reading
New Intel process roadmap rebrands nodes for easier understanding
0

New Intel process roadmap rebrands nodes for easier understanding

by Vyncent ChanJuly 27, 2021
What's your reaction?
Me Gusta
0%
WOW
0%
Potato
0%
Sad Reacc
0%
Angery
0%

After announcing that they are opening up their foundries for customers to make their chips, Intel definitely has to make itself more competitive. While they are currently on the 10nm SuperFin node, TSMC and Samsung, both the top dogs in the industry, have moved on to 5nm. As you can probably tell, the disparity in the naming does put them at a huge disadvantage. Intel has previously decided to name optimized process nodes with the +/++ suffix, which has become somewhat of a meme. But that will apparently change with the new Intel process roadmap.

Say hello to Intel 7, Intel 4, Intel 3, Intel 20A and Intel 18A

Intel Process Roadmap

Instead of calling the next process after 10nm SuperFin 10nm Enhanced SuperFin, or 10nm ESF, the next node from Intel will be named Intel 7, which will appear in products starting in 2021, namely Alder Lake. This is expected to provide 10 to 15% perf-per-watt gains over 10nm SuperFin. The renaming exercise aligns their naming with the industry a bit better, making it easier to understand the similarity between Intel 7 and the 7nm processes from the competition, instead of giving the impression that Intel is a whole process node behind.

Intel 4 Meteor Lake (1)

This will be then followed by the jump to Intel 4 which is Intel’s true 7nm process, which will arrive in products shipping in 2023 like Meteor Lake. This will take advantage of EUV lithography and deliver around 20% performance-per-watt improvement over Intel 7. Then we have Intel 3, which will see further FinFET optimizations and more EUV, for around 18% performance-per-watt improvement.

Intel has targeted for Intel 3 to ramp up in the second half of 2023. Intel would have called this 7nm+ according to their old naming scheme, which would sound absolutely outdated as by then the competition would have announced their 3nm processes, despite them being pretty much equivalent.

RibbonFET and PowerVIA with Intel 20A

intel ribbonfet

Intel 3 will be the last FinFET-based process at Intel according to the new Intel process roadmap, with them planning to move onto RibbonFET for the next process nodes, Intel 20A and Intel 18A. RibbonFET is Intel’s implementation of the gate-all-around transistor, which is going to deliver improved performance and density over existing FinFET designs.

Intel PowerVia vs old tech

Old vs PowerVia

Intel 20A will also mark the debut of PowerVia, which is an optimization of the chip design. Instead of power and signaling wires running around in the same space, PowerVia will see the transistors sandwiched between power delivery on the backside, and signal transmission on other, optimizing the signal routing, enabling higher frequencies, and improved efficiency.

Intel 20A will is set to ramp up in 2024, with Intel 18A ramping up in early 2025. Intel 18A is expected to bring refinements to RibbonFET and also harness High NA EUV as one of the first to use the next-generation EUV tech.

Intel Packaging: EMIB and Foveros

Intel EMIB and Foveros are existing packaging technologies in Intel’s arsenal. Embedded multi-die interconnect bridge, EMIB, has debuted on the Intel Kaby Lake-G processors, where a Radeon Vega GPU was connected to an Intel CPU die via EMIB. Sapphire Rapids will be the first Intel Xeon processor to ship with EMIB. Intel is planning to move from a 55µm bump pitch to 45µm in products after Sapphire Rapids.

Intel Meteor Lake Foveros (1)

Meanwhile Foveros debuted in the ultra-efficient Intel Lakefield processors. It’s a 3D stacking technology that allows for multiple dies to be stacked together for better efficiency and performance, while also reducing the package size. The 2nd generation Foveros will debut in 2023 with Meteor Lake, with multiple tiles spanning different nodes and a TDP ranging from 5W to 125W.

Foveros Omni is going to be next generation of Foveros, allowing even greater flexibility. Designs can come with multiple top die tiles and multiple base tiles, across multiple nodes. Intel plans to be able to manufacture Foveros Omni-based packages in volume in 2023. Foveros Direct involves an even tighter tolernaces, with direct copper-to-copper bonding between dies with sub-10µm bump pitch. Foveros Direct is complementary to Foveros Omni, and is slated to be ready in 2023 as well.

To a better Intel?

While I am absolutely certain Intel is capable of delivering on impressive improvements with each process node and packaging technology, I believe what’s more important is Intel’s ability to achieve each progression market according to their timeline to be competitive, especially when they are now not only a CPU maker, but also as a foundry, with the Intel Foundry Services.

Intel-Accelerated-Ann-Kelleher-2 (1)

The new naming in the Intel process roadmap is also going to be quite beneficial for Intel to better position itself as a foundry. Since the competition has decided to decouple process node naming to the actual gate length, Intel’s new naming scheme is going to make them seem a lot more competitive. It’s not like the new name will suddenly accelerate their ability to move onto newer nodes, which is something that they have struggled with in the past, but at least when they are able to get onto a new node, the new naming scheme will let them market it a lot easier, not only to end users with their CPU products, but also to prospective IFS customers.

Intel has also announced that Qualcomm will be harnessing the Intel 20A process technology, while AWS will be their first customer to use IFS packaging solutions, so while IFS might not be directly competing against the likes of TSMC and Samsung just yet, they have already scored major customers.

Pokdepinion: While it might seem confusing at first, the naming in the new Intel process roadmap is actually really helpful for you to be able to compare apples-to-apples against Intel’s competition.

About The Author
Vyncent Chan
Technology enthusiast, casual gamer, pharmacy graduate. Strongly opposes proprietary standards and always on the look out for incredible bang-for-buck.

Leave a Response